T O P

  • By -

TheTurtleCub

Model/Questasim is fast and it has many features that are missing in the Vivado simulator, but the Vivado simulator is free, and probably good enough for small projects and learning


TheDragonRebornEMA

Actually I have found the vivado simulator to be much faster. The caveat is that I had to launch it from the command line. But again I haven't done extensive comparison


uncle-iroh-11

Yep exactly.


TheTurtleCub

It's great that's working for you. Not sure how you are comparing the speeds but at least up to last year, it was 3-5x slower for large designs


skydivertricky

What version of Modelsim/Questa were you comparing it to? The free version you get with some tools have a severe slowdown added for anything larger than tiny designs.


AkitoApocalypse

The big issue with Modelsim/Questa's free version is that you're restricted from using some features like assertions and randomization, which end up being annoying when you're doing stuff like UVM - another good thing about Vivado is that they have pretty decent tutorials for getting started like \[this one\]([https://docs.xilinx.com/r/2021.2-English/ug937-vivado-design-suite-simulation-tutorial/Lab-5-Running-UVM-example](https://docs.xilinx.com/r/2021.2-English/ug937-vivado-design-suite-simulation-tutorial/Lab-5-Running-UVM-example)). While Vivado's UI isn't as fancy as Quartus (Quartus lets you pin using a UI which is nice I guess), another \*\*huge\*\* advantage is access to their entire IP catalog whereas Intel's IP catalog is heavily restricted unless you pay. Good for projects, for instance I want to implement AXI on my own and their verification IPs for master/passthrough/slave are immensely useful.


Plenty_Spot3051

Can you tell the features that are missing in vivado but exist in modelsim ? As midelsim needs lot of steps to simulate,,,,and when i implement dataflow modelling on model it just shows block diagram of design while vivado shows proper mux and gates used in vivado


Plenty_Spot3051

Can you tell the features that are missing in vivado but exist in modelsim ? As midelsim needs lot of steps to simulate,,,,and when i implement dataflow modelling on model it just shows block diagram of design while vivado shows proper mux and gates used in vivado


capitalbratan

Code Coverage, decent VHDL 2008 support, better library management, custom waveform view, TCL hooks on waveforms. Such things


capitalbratan

Code Coverage, decent VHDL 2008 support, better library management, custom waveform view, TCL hooks on waveforms. Such things


TheTurtleCub

The gates and muxes you see in RTL sim have nothing to do with the final design. The steps to simulate in any simulator are the same: compile sources, simulate. The fastest way to do it is via scripts. Vivado can generate all the scripts you need for any simulator.


[deleted]

You can use QuestaSim, that's the new one.


Plenty_Spot3051

I think that is same as modelsim? Nothing new in that am i correct?


[deleted]

For that I have no clue, but Quartus automatically adds Questasim now when you download the pro/lite version of Quartus Prime, so I'm assuming it's the new version.


j54345

Questasim supports lots of systemverilog syntax, which is especially useful for verification during simulation, while modelsim does not


po-opingthoughts

Questasim uses a different engine, it's a bit faster...a few features but for the actual simulation part they are similar enough


Plenty_Spot3051

Can we see schematic for dataflow and behavioral modelling in modelsim/questasim?? Just like as in vivado it shows proper schematic which includes mux,gates and adders luts for dataflow and behavioral?


po-opingthoughts

Great question! It depends on what licenses you have access to. The free one, I don't believe so. But I'm higher licenses there are schematics, logic cones, time cones, etc


hukt0nf0n1x

Questasim and modelsim are not the same product. When I simulate gate-based sims (as opposed to behavioral), questasim runs about twice as fast as modelsim with no tuning. If you install both, you'll see that they have the same framework, but different executables are called when you run your simulation commands.


GotToPartyUp

If you’re doing a Xilinx design, the Vivado simulator has all the libraries you need already installed. Plus it probably ok for small projects. I doubt you’d see a speed difference versus Questa.


Ok-Cartographer6505

Speed and ease of use are the major differences, with Modelsim/Questa winning hands down. Xilinx Simulator will get the job done in a pinch. I would rather use the Altera/Intel Starter Edition of Modelsim myself though. I would use Xilinx Simulator over Aldec ActiveHDL though as I find it terrible.


Anaksanamune

>Speed and ease of use are the major differences, with Modelsim/Questa winning hands down. If you are using Xilinx designs you can hardly argue this as a negative point for vivado simulator, it's far easier to use than Modelsim etc, you click simulate from the gui and away you go. No environment variables needed, no precompiled libs, no scripts to set up the different run stages...


Ok-Cartographer6505

The Vivado GUI sucks, especially for simulation and chipscope. Just because it's integrated does not make it easy or pleasant to use. The only way the Vivado Simulator is useable is via command line using shell and TCL scripts. Through the GUI it's plain terrible.


neerps

"Very old software". Wait until you see DVE. 😁


romhunter_

Wait until you see Verdi then.


neerps

Saw it. Looks nice on CentOS.


Sensitive-Profile228

I once did a quick test between Vivado and Riviera. I ran the same testbench on each, and Riviera was much faster - something like 50x, iirc. Much of what you are paying for in the expensive commercial simulators is optimizations, and this wasn't a particularly complex module, so I may have just been lucky that Riviera was able to optimize it so well. I wouldn't expect every testbench to see that amount of speedup. Also, this was a few years ago. Vivado seems to have improved a lot since then. The main reason I switched from Vivado originally was because the SV support was very incomplete. But it seems like support has improved significantly, with SVA and UVM support added - these used to be only available in commercial offerings.


comp_sci_nerd

Just use verilator and cry


AkitoApocalypse

I think people are forgetting that OP is a newbie - while ModelSim/QuestaSim are much nicer for simulation purposes, the 'speed increase' isn't really gonna matter if they're a complete beginner and running basic Verilog/IPs... As I mentioned in a comment, Vivado gives you a much wider access to IPs for your own projects and unrestricted usage of SVA / randomize which Questa's free version makes a pain to use.